1056788 ランダム
 HOME | DIARY | PROFILE 【フォローする】 【ログイン】

緑のボタンを押せ! Press the green button

緑のボタンを押せ! Press the green button

【毎日開催】
15記事にいいね!で1ポイント
10秒滞在
いいね! --/--
おめでとうございます!
ミッションを達成しました。
※「ポイントを獲得する」ボタンを押すと広告が表示されます。
x

PR

Category

Keyword Search

▼キーワード検索

Archives

2024.04
2024.03
2024.02
2024.01
2023.12

Comments

 effelpist@ kilovermek.es effelpist &lt;a href=&quot; <small> <a href="http…
 Jun@ Re:「いっちゅう」さんのiEPG用ソフト入れてみた(09/15) TVdeIEPG Ver.1.2.1.0がリンク切れしてい…
 スター@ Re:hauppauge HD PVR 速報(08/25) スタービーチ <small> <a href="http://c…
 ASOBO@ Re:hauppauge HD PVR 速報 ASOBO攻略 <small> <a href="http:/…
 ハッピーメール@ Re:hauppauge HD PVR 速報 ハッピーメール <small> <a href="http:/…

Freepage List

Favorite Blog

まだ登録されていません
2007.07.31
XML
テーマ:電子工作(533)
カテゴリ:電子工作

今日はコード用(プログラムを格納する)メモリ


//
// code memory (c)2007 BakaOyaji
// $Id$
//

`include "../pic_def.h"

`define CODE_SIZE ('d4096)

module codemem( addr_i, data_o ) ;
input [(`PC_Length-1):0] addr_i ;
output [(`Instruction_Len-1):0] data_o ;

reg [7:0] hexrom[ 0: ((`CODE_SIZE)*2 -1)] ;
reg [15:0] a ;

reg [(`Instruction_Len-1):0] data_o ;
initial begin
$readmemh( "rom.data", hexrom);
end // initial

always@( addr_i ) begin
data_o <= {hexrom[(addr_i << 1 )+1], hexrom[ addr_i << 1 ]};
end // always

endmodule // codemem( addr, data ) ;

// EOF codemem.v


まあ、超ちょろいですね。Verilog-HDLのシステムタスク、$readmemh形式で読めるように、メモリの内容の入ったファイル「rom.data」も作って、簡単にデバッグ。問題はなさそう。ただ、メモリの内容を手で作るのは面倒くさいので、MPLABの出力したROMイメージを変換する仕掛けを用意しないとやりきれない。インテルHEX形式を変換するのがいいかな…
 ん~、でもインテルHEXフォーマットの仕様に関する記事が見つかりませんね。だれか教えてください(笑)。
---
本日の御託
私の勤める職場には、イントラネットのポータルページに、「今日の一枚」みたいな、写真と簡単なキャプションがついたページがあるのだが、ちょっとした善行(?笑)をしてそのコーナーに載ってしまった。世界中にいる同僚に「conglaturation!」なんてメールをもらったりして、なんというか、ちょっとこそばゆい。





お気に入りの記事を「いいね!」で応援しよう

Last updated  2007.08.01 00:39:37
コメント(0) | コメントを書く



© Rakuten Group, Inc.