4624091 ランダム
 HOME | DIARY | PROFILE 【フォローする】 【ログイン】

おびやまロボット研究所 ~マイコン電子工作と自作ドローン~

おびやまロボット研究所 ~マイコン電子工作と自作ドローン~

CAD利用雑録

CAD利用方法雑録

※注意※
私がCAD利用の際,メモ書き程度にまとめたものです.うそがあるかもしれません.


Max+plusIIインストールについて
ALTREAホームページよりBaselineソフトウェアをダウンロードできる.
まず,下記のページよりE-mailアドレスを入力,
https://www.altera.com/support/software/download/altera_design/mp2_baseline/dnl-baseline.jsp
するとダウンロードのページへ行く.
論理合成ツールがMax+plusII専用機能限定版として使えるので,同時にダウンロードしておく. 論理合成ツールLeonardoSpectrum-Altera,ModelSim-Alteraなど.
続いてライセンス登録のページへ行く.
Max+plusIIはハードディスクのシリアルナンバーで登録できるが,LeonardoSpectrumも同時に使うなら,ネットワークカードのMACアドレスを登録しないといけない.ページの説明に従って,DOS窓からそれを調べ,ページから入力すると,先に登録したe-mailアドレスにライセンスが届く.このライセンスは半年間有効である.
ライセンスファイル:license.datをc:\flexlmというフォルダを作って,その中におく.次に,Max+plusIIを起動して,Optionからlicense setupへいき,Browsボタンで, c:\flexlm\ license.datを選んでOKとするとMax+plusIIのライセンス設定は完了.
LeonardoSpectrumのライセンスは,license.datの中に含まれているが,ファイルパスの設定が必要.ライセンスファイルと同時に送られてきた説明通り,c:\のautoexec.batファイルのなかに,pathを追加する.また,LeonardoSpectrumOEM版と同じスタートメニューの中にLicenseManagerがあるので,そちらも一度起動して,ライセンスファイルの設定をしておく.

Max+plusIIの設定について
 通常はデフォルトでAHDLファイルならコンパイルできるが,エラーがQuarts Fitter Technologyなんとかというエラーがでてコンパイルできないことがある.そのときは,Compilerをアクティブにしているとき,Fitter Settingを選択して,Quartsなんとかのティエックボックスをはずすと良い.

Max+plusIIのProgrammerの設定について
Max+plusIIメニューからProgrammerを起動した後で,OptionからHardware Setupを選ぶ.Hardware typeからByteBlaster(MV)を選び,PallarelPortでLPT1を選んでOK.これで,プリンタポートとボードを接続してProgrammerが使えるようになる.ボードの電源をいれてProgrammerのConfigureボタンで配線データが転送される.Windows2000の場合は,プリンタポートを使う場合のドライバを別途インストールしないといけない.アドミニストレータ権限で,C:\Maxplus2\Driverの中のWindows2000の中のヘルプファイルに書いてあるとおり,ドライバをインストールする.

Max+plusIIのProgrammerでsofファイルを使う方法
FPGAボードのテストプログラムを使用するときなど,自分でコンパイルせずに,他からコンパイル後のファイル“.sof”を使うには,FileメニューのProjectのNameで,そのファイルを指定すれば,ProgrammerのConfigureボタンが使えるようになる.

LeonardoSpectrumの使用法
 FileメニューからVHDLあるいはVerilog-HDLでファイルをかく.保存形式は,VHDLの場合は”.vhdl”, Verilog-HDLの場合は”.v”が一般的なようだ.ファイルはテキストエディタで別途作っておいても良い.論理合成するときは,まず,左側のメニューで,FPGAボードに使われているFLEX10KのEPF10K10QC208-3を選ぶ.次に,ViewボタンのしたにあるSynthesis Withardボタンを押す.すると論理合成ウィザードが立ち上がり,論理合成するファイルと作業ディレクトリを選択して,AutoがあるチェックはAutoにして,クロックスピードは1MHZ程度を入力して,OKで,EDF(EIF)ファイルが作られる.メッセージをつらつら出した後,簡単な回路でも30秒くらい考えて結果ファイルを出力するので止まったと勘違いしないように.エラーメッセージが表示されなかったら,作業ディレクトリの中にedfファイルが出力されている.

Max+plusIIでEDIFファイルを読み込む方法
 まず,Max+plusIIメニューからCompilerを起動する.次にInterfaceからEDIF Netlist Reader Settingを選ぶ.これで,LeonardoSpectrumのメーカーであるExamplerを選んでおく.その後,Fileメニューからopenで”.edf”ファイルを読み込むとテキストエディタで内容が表示される.後はAHDL記述の時と同様に,Set project to current fileをして,Save and Compileをする.Deviceの選択を確認し,PinのAssignをして,再度コンパイルすると”.sof”ファイルが完成する.他の論理合成ツールを使ったときも同様の操作でEDIFファイルを読み込める.SynopsisのFPGA Expressも以前うまくいった.
Compiler画面で,Processingを選択し,Generate AHDL TDO fileを選択しておくと,AHDLファイルが拡張子”.tdo”として出力される.また逆に,AHDLをコンパイルしてEDIF Netlistを生成し,それからVHDLやVerilog-HDLを生成できるようだが,異なるHDLに変換されたものは,人の読めるものではない.
また,Compilerの設定は,ProcessingとInterfaceのメニューからいろいろ変えられ,いろんな形式のファイルやレポートが作られるようだ.

Max+plusIIで論理素子から回路を作る方法
 FileメニューからGraphicエディタを選ぶ.白い画面が表示されるので,マウスで点を選んで,SymbolメニューのEnter Symbolを選ぶ.するとライブラリ選択画面がでる.C:\maxplus2\max2lib/primを選ぶと基本的な論理素子一覧がでてくるのでそれから例えばandを選ぶとand素子が画面上に現れる.その他のライブラリも同様に使えるようだ.
入出力pinはprimのなかのinput,outputである.素子はコピーペーストでき,右クリックで素子名,例えば入出力pinならpin名を変更できる.
 論理素子からでも,プロジェクトに設定してコンパイルして,デバイスとpinの割り当てをし,再コンパイルでボード用の”sof”ファイルを生成できる.


© Rakuten Group, Inc.